systemc

    0熱度

    2回答

    我是新來的systemC編程我正在寫一個D觸發器,但我找不到一種方法來編寫主程序並輸入信號(din,clock而在我的情況DOUT): 這是我的代碼: #include "systemc.h" SC_MODULE(d_ff) { // on déclare le module à l'aide de la macro SC_MODULE. sc_in<bool> din; // s

    3熱度

    3回答

    我將SystemVerilog中的代碼移植到SystemC。 SV很容易將位/邏輯的壓縮結構解釋爲單個位/邏輯向量。例如: typedef struct logic { logic [31:0] blk1; //63:32 logic [4:0] blk2; //31:27 logic [2:0] blk3; //26:24 logic [4:0] blk4

    0熱度

    1回答

    SystemC允許通過sc_trace函數跟蹤信號(或成員等),結果是一個可用於其他程序的VCD文件。儘管這些信號的命名是相當隨意的,但函數接受任何字符串(不必與變量本身相關)作爲要轉儲的信號的名稱。 問題是:我可以以某種方式從sc_interface對象到通過SystemC API用作其名稱的字符串的映射嗎?我以其他方式記錄了大量數據,並且能夠以某種方式將檢索到的值連接到VCD數據,我感到非常高

    0熱度

    2回答

    我正在使用Visual C++ 2008的systemC。我寫了一個簡單的hello世界程序。但是我重複出現此錯誤: 警告C4996:'sprintf':此函數或變量可能不安全。 爲什麼會發生這種情況?我將不勝感激任何幫助。

    0熱度

    2回答

    我是TLM的新手。 有人可以給我一個TLM fifo連接兩個進程的示例代碼嗎? 謝謝

    1熱度

    5回答

    我是systemc中的新成員。我有一個困惑。我正在創建一個sc_module(hello_world)。 sc_ctor(hello_world)在花括號之間沒有任何內容,而我只在模塊內部有一個簡單的void say_hello()函數,用於打印「hello world」。 在sc_main,我這樣做: hello_world hello; hello.say_hello(); 但是,我得到

    1熱度

    1回答

    我對系統的工作C.在一類 首先,我已經聲明瞭一個整數: int G_WIDTH; 然後我使用G_WIDTH : sc_in < sc_lv <G_WIDTH> > a; 當我試圖模擬它。報道如下錯誤: 表達< * sc_in < sc_lv>一*>必須有一個恆定的 值。 當我使用: sc_in < sc_lv <8> > a; 這是工作的罰款。 任何人都可以幫我解決這個問題嗎?

    2熱度

    3回答

    我想報告模擬結束時的時間。我認爲我會使用end_of_simulation例程,並且只需在例程中執行sc_timestamp即可完成此操作。 問題是內核在end_of_simulation之前終止,並且我得到一個僞造的時間戳。 我不能真正使用線程來確定這一點,因爲將我從模擬中拉出來的原因是所有線程都在等待事件。沒有可以存儲時間戳的「主線程」。 有什麼想法?

    2熱度

    2回答

    我正在模擬一個CPU,並且正在使用高級仿真工具來執行此操作。 SystemC是用於這些目的的良好資源。我用兩個模塊: 數據路徑 內存 CPU數據通路建模爲一個獨特的高層次的實體,但是下面的代碼將肯定比任何其他更好的解釋: 以下是datapath.hpp SC_MODULE(DataPath) { sc_in_clk clk; sc_in<bool> rst; ///

    1熱度

    1回答

    我正在編寫一個應用程序以模擬使用SystemC庫的硬件系統。 我的問題是處理sc_logic類型。 考慮一個簡單的模塊 SC_MODULE(MyModule) { sc_in_clk clk; sc_out<sc_logic> outsig; void main_process(); SC_CTOR(MyModule) { SC_METHOD(m