systemc

    1熱度

    1回答

    我試圖在SystemC中模擬一個帶有CABA(Cycle Accurate/Bit Accurate)模型的模塊,該模型添加了兩個數字。它有下列信號: 模塊addition_CABA a:用於添加輸入號碼。 b:添加的輸入號碼。 clk:時鐘輸入。 valid:當輸入a和b可用時輸入信號變爲1。 result:輸出信號包含結果a + b。 ready:當result準備就緒時,輸出信號變爲1。 爲

    0熱度

    2回答

    我需要這個代碼轉換: bool hw = (gpio_ctrl(idx) >> 5) & 1; uint8_t cnfg = (gpio_ctrl(idx) >> 3) & 3; sc_dt::sc_logic oe_n = Log_1; // Default disable sc_dt::sc_logic od = Log_Z; // Default disable DEBUG_PR

    0熱度

    1回答

    我試圖模擬的SystemC模塊,其將兩個像素的SystemC的文件時,但是當我編譯代碼我收到以下錯誤信息: /tmp/ccb1wY9C.o: In function `sc_dt::sc_uint_base::length() const': Test/pixels.h:24: multiple definition of `sc_trace(sc_core::sc_trace_file*, p

    0熱度

    1回答

    我寫在那裏我有一個變量初始化new在構造函數中的SystemC的一個模塊: SC_CTOR(MY_MODULE) { ... ... my_matrix = new unsigned char [a*b]; ... ... } 我如何可以聲明析構函數時,模擬結束,以釋放內存?

    0熱度

    1回答

    如果我在SystemC中有兩個線程,A和B(都是SC_THREAD),並且我希望線程A停止執行(被重置),如果B中的變量或事件獲得斷言,完成這件事的正確方法是什麼? 這裏是一個更說明性的例子: // Thread A: Data transfer SC_THREAD(rx_tx_transfer); dont_initialize(); sensitive << clk.po

    -1熱度

    1回答

    比較我有一個問題,usinf sc_time_stamp() 我想要做的操作(下面寫的句子),只是在time = 20 這樣: 1t1 = sc_time_stamp(); if (t1.to_string() == "20") { cout<<"Current time is "<< t1 << endl; }1 但它不起作用。

    0熱度

    2回答

    我想檢查使用sc_buffer和sc_signal之間的差異。我編寫了一個模塊,它添加了兩個隨機數,然後我並行運行兩個測試:一個使用sc_buffer,另一個使用sc_signal。然而,當我檢查gtkwave時,我看到了兩個例子的相同痕跡,所以我認爲這種情況下應該沒有任何區別。我如何檢查差異?還是說這兩種不同類型的頻道是針對不同的應用?

    0熱度

    1回答

    我是新的systemc在Ubuntu 14.04和我想建立一個systemc小項目的Eclipse。我按照提供的自述文件和以下教程here。 問題是sc_signal_resolved未被識別。我有一個語法錯誤告訴我,sc_signal_resolved無法解析。 下面 是我的代碼示例:就是爲什麼它不承認 #include <systemc.h> int sc_main(int argc, c

    0熱度

    1回答

    我有興趣將SystemC模塊與Omnet ++模塊混合使用。根據Omnet++ manual,支持該功能。但是,我找不到任何進一步的文檔或示例。 任何人都可以幫助我完成這個程序嗎?如何啓用此功能?如何加載和兩個未來的事件列表中的模擬?任何例子將不勝感激。

    0熱度

    2回答

    我開發了一個perl腳本來運行UVM-SystemC示例代碼。 #!/usr/bin/perl use warnings; use strict; sub main(); my $CLIBS = "\$SYSTEMC_HOME/lib-linux64"; my $UVMCLIBS = "\$UVMSYSTEMC_HOME/lib-linux64"; my $CINC = "\