systemc

    2熱度

    2回答

    我有一個簡單的C++函數,它逐行解析CSV(10-10k行)文件並在定義的結構中插入每個字段,結構數組更加具體。 現在我想用systemc方法來測量的解析時間(沒有C++公用事業,e.g clock()),並將其納入模擬像任何其他過程和生成跟蹤文件 - 這可能呢? 幾天來我一直在努力做到這一點。其實我已經意識到sc_time_stamp()在這種特殊情況下是無用的,因爲它只顯示了宣佈的sc_sta

    2熱度

    2回答

    我第一次使用systemC。我盡我所能地追蹤了這些例子,但我無法弄清楚這個錯誤是由什麼引起的。 目標是創建一個ALU,增加和減去與桶式移位器 alu.h #include "systemc.h" SC_MODULE(alu){ sc_in<bool> op; sc_in<sc_int<8> > a; sc_inout<sc_int<8> > b; sc_out<sc_int<8> >

    -3熱度

    1回答

    確定這是我正在嘗試的。我已經將一個數組傳遞給一個函數。在返回時,我只想發送在數組中定義的那些值。例如,假設我有一個10的數組定義,我想在該函數中只返回該數組中的5個值。 有什麼建議!?謝謝。 示例代碼: sc_uint<8> *arrayfill(struct){ sc_uint<8> array[10]; array[1] = struct.a; array[2] = struct.b;

    -1熱度

    1回答

    這是我正在嘗試的。我已經將一個結構傳遞給一個函數。在函數中,我將結構的值存儲在一個數組中。在返回時,我只想發送基於特定條件在數組中定義的那些值。例如,假設我有一個10的數組定義,我想在該函數中根據標準僅從該數組返回5個值。下面是一個示例代碼: sc_uint<8> *arrayfill(struct){ sc_uint<8> array[10]; array[1] = struct.a;

    1熱度

    1回答

    我可以通過sc_port檢索時鐘週期嗎? 以下代碼不起作用。我想調用在sc_clock中定義的方法「period()」。 sc_in_clk clk; * clk.get_interface() - > period();

    1熱度

    1回答

    我試圖在SystemC中創建一個模塊,但必須與此錯誤糾纏在一起 錯誤: No instance of constructor "BlackBox::BlackBox with[R=1, expR =3, C=5, expC=-6, T=1, expT=-1]" matches the argument list 我不知道問題出在哪裏 看來,這行代碼導致它: dut0 = new BlackBox<

    2熱度

    1回答

    我正在將一些verilog代碼轉換爲SC。下面是一個情況讓我感到困惑: 用Verilog,連續作業,如: wire a; assign a =1; 凡將在轉讓之後立即得到1。如果我們把它寫在SC中: sc_signal<bool> a; a.write(1); a的當前值不會是1.如何解決這個問題?像以下一樣? bool a; a = 1;

    5熱度

    1回答

    這裏是我的程序: #include <systemc.h> int sc_main(int argc, char* argv[]) { sc_signal<sc_logic> a, b, c, d; // trace file creation sc_trace_file *tf = sc_create_vcd_trace_file("test");

    -5熱度

    1回答

    當我把下面的代碼放在我的解決方案中,然後調試它,包含此「在Q2.exe 0x0016ec86未處理的異常:0xC0000005:訪問衝突讀取位置0x00000000」。出現在我的屏幕上。 我認爲這是由於「COUT」但我不知道如何解決它 「」代碼寫在「systemC的」 C庫++「」 #ifndef IF_classes #define IF_classes #include "systemc.

    -2熱度

    2回答

    要將用戶定義的數據類型傳遞到SystemC通道模板,需要將這些數據類型定義爲實現不同類型的運算符'','=','=='的類。 我需要定義sc_fifo如: sc_fifo<route_t> 爲了做到這是正確的,數據類型route_t必須被寫爲在下面的例子。 class route_t { public: route_dir_t route_dir; u