systemc

    1熱度

    1回答

    考慮下面的例子,其中一個模塊的輸出(inner::out)應該驅動上部層級的兩個輸出(outer::out和outer::out2): #include <systemc.h> SC_MODULE(inner) { sc_out<bool> out; SC_CTOR(inner) : out("out") { SC_THREAD(doit); };

    0熱度

    1回答

    我遇到了無法構建任何包含任何SCV功能的代碼的問題。我使用Eclipse和Cygwin。 這是我試圖建立和運行一個簡單的代碼: #include <scv.h> int sc_main (int argc, char* argv[]) { // Int data type int data = 100; // Get the bitwidth of the data int bitwi

    1熱度

    1回答

    我想在Eclipse中使用UVM-SystemC庫,並且設法安裝它,但我遇到了連接它的麻煩。我嘗試例如寫這篇文章的代碼: template <typename REQ> class vip_driver : public uvm_driver<REQ> { public: vip_if* vif; vip_driver(uvm_component_name name) : uvm_dri

    0熱度

    1回答

    舉個例子,假設我的代碼有三個函數,它們都對同一時鐘的正邊沿都很敏感。有沒有辦法說明給定的systemC模擬器如何排序這些函數的執行。 我正在使用cadence的IUS模擬器。 我明白,代碼不應該假定序列,因爲它是建模硬件。但是我在問這個問題,因爲了解事件的順序對於促進systemC調試至關重要。

    0熱度

    1回答

    #ifndef RAM_H #define RAM_H #include <systemc.h> SC_MODULE(ram) { void ram_proc(); SC_HAS_PROCESS(ram); private: sc_clock clock; }; #endif 然後在ram.cpp我有以下代碼 #include "ram.h" ram:

    -2熱度

    1回答

    我一直在嘗試使用目錄上的「make」命令編譯一些文件。不過,我不斷收到此錯誤: Sammys-MacBook-Pro:p1 AlphaMale$ make gcc -L/usr/local/lib/ -o kem-enc ske.o rsa.o kem-enc.o prf.o -lcrypto -lssl -lgmp Undefined symbols for architecture x86

    0熱度

    1回答

    我想打印sc_signal連接到的sc_module的名稱。 如何從「sig_out」中獲取以下代碼中的模塊名稱「module_a」? #include "systemc.h" class sig_if : virtual public sc_interface { }; class my_sig : public sc_module, public sig_if { public

    0熱度

    1回答

    SystemC中是否有函數返回下一個事件的時間?或者如果不存在如何實現呢? 例如我有1MHz頻率的時鐘模型,我用sc_start(100,SC_NS)運行模型。 下一個計劃的事件是在500ns。 的代碼看起來像這樣 .. sc_start(100, SC_NS); next_time = get_next_event_time(); //get_next_event_time() should

    0熱度

    1回答

    我想跟蹤我設計中的所有信號到VCD文件。是否有可能自動化這個過程?我不想添加每個信號手動跟蹤(使用sc_trace(..))

    0熱度

    1回答

    我想要一個類型的SystemC端口轉換成另: 來自: sc_port<sc_fifo_out_if<Type> > 到: sc_export<tlm::tlm_analysis_if<Type> > 我用這個類線程轉換類型之間。 class port_converter : public sc_core::sc_module{ public: sc_port<sc_fifo_i