systemc

    0熱度

    1回答

    使用C標準庫我是來自巴西的電子工程專業的學生,​​我目前正與嵌入式系統的工作。 我試圖將一個稱爲minimp3的MP3解碼器(用C語言編寫)移植到藉助SoCLib工具構建的平臺上(該工具有一堆硬件模型,如處理器,存儲器和互連用SystemC witch編寫,允許你構建嵌入式系統模型)。 該平臺我建築由MIPS處理器,一個RAM,互連和TTY(虛擬終端)的,所以很明顯的MP3解碼器必須被交叉編譯。

    2熱度

    1回答

    我需要一些幫助:當使用g ++ 4.1來構建我的代碼時,沒有關於「引用類是不明確的」的錯誤。它僅使用G ++ 4.5.2編譯相同的代碼時發生的,而這裏的代碼片段: #include "tlm_utils/simple_initiator_socket.h" using tlm_utils::simple_initiator_socket; class HvEcu : public sc_m

    4熱度

    1回答

    我一直使用OpenCV和SystemC來滿足多個應用程序的需求。 現在,我有這個應用程序,我需要在SystemC仿真環境中使用OpenCV顯示圖像。然而,對於某些原因,這兩個包似乎是不兼容的「在運行時」: 有當我sc_start之前調用cvNamedWindow或cvShowImage在SystemC的類沒有問題的()被調用。 但是,當在sc_thread中調用cvNamedWindow或cvSh

    2熱度

    3回答

    我正在將一些SystemVerilog移植到SystemC/C++,並且遇到了多維關聯數組的問題。考慮在SV中聲明這個數組。 // assume typ_one, typ_two, typ_three are struct or enum types typ_one mda[typ_two][typ_two][typ_three]; 我知道與1-d關聯數組我可以用一張地圖,並與2-d陣列的嵌

    0熱度

    1回答

    如何在SystemC中實現模數12計數器?我對圖書館很陌生,對此沒有多少經驗。請幫忙。

    0熱度

    1回答

    我是SystemC的新手,我只是編譯它以便在VS2010中使用它,使用this教程。但是,當我試圖調試下面的程序: #include <systemc.h> SC_MODULE (systemcTest) { SC_CTOR (systemcTest) { } void say_hello() { cout << "Hello World.\n"; } }; int s

    1熱度

    1回答

    我設計了一個使用RTL SystemC庫的電路。這個電路工作正常,我可以正確模擬它。現在我想將它部署到FPGA中,並且正在尋找一種方法將我的systemc代碼轉換爲vhdl或verilog,以便在Xilinx ISE中使用它。 有沒有辦法做到這一點?或者我必須再次進行所有的編程,但這次是用VHDL?

    1熱度

    2回答

    我想要做的事情就像發送av事件從一個模塊到另一個模塊(如按下按鈕)。但正如我已經搜查似乎應該以其他方式完成,因爲我沒有找到任何標準的方式發送AV事件觸發/通知。 我的簡單模型包含兩個模塊,一個發生器和一個控制器。我希望Generator能夠將Controller中的內部布爾值設置爲true(表示在傳感器上進行了註冊)。控制器有一個線程,如果自上次以來進行了註冊,則該線程會執行操作,然後將bool重

    2熱度

    1回答

    新的SystemC庫2.3.0於2012年7月發佈。據報道,它能夠支持電源域和抽象調度器等概念的建模。有沒有人檢查或研究過SystemC 2.3.0如何支持電源域和抽象調度程序的建模?任何推薦的參考文獻都表示讚賞

    4熱度

    1回答

    對不起,這個問題不是特定的代碼,我相信必須做更多的約定而不是技術上正確或錯誤的解決方案。可能它可以做到不止一種方式。 我想將一個SystemVerilog模型的內存控制器移植到SystemC,並且想知道什麼是翻譯run()類型函數(即使用永久循環進行連續處理)的最佳方法,它使用fork生成並加入到SystemC中。這些run()函數通常在仿真開始時產生。我的困惑是SystemC確實支持產卵線程,分