道歉。以下是用十六進制值定義常量的相關代碼。 assign c = 18'sh3_8000; //-0.5 table value (50) times 0.01
assign d = 18'sh0_051E; // 0.02 table value (2) times 0.01
據我所知,他們都是18位有符號的十六進制值。表示第二參數d,以二進制: 0h51E = 000000010100
我的PRN發生器不工作。我想用線性反饋移位寄存器來做到這一點。 模擬和編譯工作沒有問題,但輸出是錯誤的(lfsr_out ='0'),並沒有改變。 代碼:在output_logic library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
en
我爲Altera DE2-115提取並構建linux-socfpa。我用buildroot和u-boot來構建它。它啓動但沒有文件系統。我有一個SD卡插入FPGA,有什麼方法可以使用SD卡作爲文件系統? 系統信息: Linux version 4.9.0-00104-g84d4f8a-dirty ([email protected]) (gcc version 6.2.0 (S7
bootcon
我正在使用Xilinx ISE 14.7合成器。我可以用.coe文件初始化我的BRAM並訪問它。此外,我可以使用data2mem工具更新新的.mem文件並更新我的位文件。在這裏,我將它配置爲ROM。 我的問題是,我不知道如何將BRAM內容存儲到文件中。我正在使用核心生成器的單端口塊內存。我將它配置爲RAM。我想寫入數據並稍後訪問它。我沒有找到任何相關的帖子說明這一點。可能是它唯一沒有找到將內容保存