soc

    0熱度

    1回答

    傳統上,AWS組件EC2等通過SOC 2認證,組織利用此方法通過劃分方法爲其整個應用程序執行SOC 2。由於API網關或CloudFront未經認證或計劃,是否仍有可能獲得使用這些功能的認證?

    0熱度

    1回答

    什麼是「頂級HDL封裝」以及我們爲什麼需要在SoC項目中實現?

    0熱度

    3回答

    我正在通過DE0-Nano-SoC計算機系統 閱讀ARM Cortex-A9用戶指南,我在其中找到了ac代碼,我不明白「*(MPcore_private_timer_ptr + 3)」中的「+ 3」是什麼意思? while (1) { *HPS_GPIO1_ptr = HPS_LEDG; // turn on/off LEDG while (*(MPcore_private_timer_pt

    0熱度

    1回答

    我正在使用Eclipse DS-5在Windows 7上交叉編譯Altera SoC(FPGA + ARM)的項目。該工具鏈是由Altera公司提供的工具,它看起來如下: GCC C++ Compiler 4 [arm-linux-gnueabihf] GCC C Compiler 4 [arm-linux-gnueabihf] GCC Assembler 4 [arm-linux-gnuea

    -2熱度

    1回答

    在ARM7中,當處理器復位時,它開始執行復位時從0x00000000地址開始執行代碼,但是在基於ARM7的lpc214x中執行復位啓動加載程序,其啓動地址爲lpc2148 0x7FFFD000,因此如何將此開始地址加載到pc中reset.and然後如何PC將加載0x00地址? 在下面的圖片中,給出了lpc2148的存儲器映射。

    1熱度

    3回答

    我有一個基於7000賽靈思ZYNQ板與在具有DMA能力的FPGA架構的外圍(上賽靈思/ ARM的SoC(ZYNQ 7000)DMA緩衝區AXI總線)。我們開發了一個電路並在ARM內核上運行Linux。硬件填充後,我們遇到性能問題,從用戶空間訪問DMA緩衝區。 摘要: 在啓動時我們已預先保留DRAM的部分用作大DMA緩衝區。我們顯然使用錯誤的API來映射此緩衝區,因爲它看起來沒有緩存,並且訪問速度很

    0熱度

    1回答

    我需要通過Avalon內存映射接口將count_x 32位數據傳送給HPS。第一和第二個案件工作良好。我得到了數據給HPS。但在第三種情況下當'2'=> avs_s0_readdata < = count_x(31 downto 0);它顯示一個錯誤,因爲「'2」沒有聲明這是什麼意思。 Vhdl是在Altera quartus 16.1中完成的,而HPS系統是在Qsys中完成的。 architec

    0熱度

    1回答

    我正在使用Altera de0 nano soc FPGA。我有小數點存儲在固定點類型(5 downto -27)(數字總是正數)。我把它放到std邏輯矢量(32位),並通過Avalon接口發送到soc FPGA的HPS。但我不知道如何將接收到的數字解碼爲(c語言)中的c浮點數。這個怎麼做? 我用fixed_pkg library ieee_proposed; USE ieee.std_logi

    0熱度

    1回答

    我正在使用Altera DE0 nano SoC FPGA。我想知道如何從HPS向FPGA發送浮點數。 讓一個float ex_hps = 6000.9282;通過Avalon內存映射接口發送。如果Avalon_write_data address有32位數據長度(可以從Qsys中設置),在FPGA端,這個數字存儲在一個32位的std_logic_vector右邊? 此std_logic_vect

    2熱度

    1回答

    如果對於給定的過程,我聲明一個變量(比如說一個1位變量,variable temp : std_logic;),那麼我可以給變量賦值如果給定條件返回true,即 if (xyz=1) then --Assuming that this condition returns TRUE temp:= '1'; ??這個邏輯是否可以合成爲ASIC?