我想用兩個按鈕遞增和遞減。該算法進展順利,但我有一個小問題。假設我正在遞增,當我嘗試遞減累加器時,它會再次遞增,只有在它開始後,它纔會開始遞減。如果我先嚐試減少,也是一樣。如果是某人來幫助我,我會非常感激。 library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.std_logic_unsig
此問題涉及定義可接受參數化長度數組的模塊的功能。 我目前擁有的是: use work.my_types.all;
entity Calc is
port (clk : in std_logic;
y1, y2, yc, y3, y4 : in u8exrow;
lap_l, lap_r : out s9row) ;
end Calc;
在類型u8
我試圖根據來自AXI流信號(如tuser和tlast)的信號來計算像素。我寫了這個: p_pixelcount : process (s_clk)
variable v_hcount : integer := 1;
variable v_linecount: integer:= 1;
begin
if (rising_edge(s_clk)) then
我想要做2個矩陣的乘法,並且我想在VHDL中創建一個std_logic_vectors數組。 package matrice is
type t11 is array (32 downto 0,0 downto 19) of unsigned(7 downto 0);<
type t1 is array (0 downto 19) of t11;
type t2 is array (0 do
有沒有一種方法來初始化一個二維數組而不必逐一給出所有的值。 我有信號聲明像: type t_id_data is array (integer range <>) of integer;
type t_image_data is array (integer range <>) of t_id_data;
signal s_image_data : t_image_data (