我的PRN發生器不工作。我想用線性反饋移位寄存器來做到這一點。 模擬和編譯工作沒有問題,但輸出是錯誤的(lfsr_out ='0'),並沒有改變。 代碼:在output_logic library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
en
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity TopLevel is
Port (reset : in std_logic;
clock : in std_logic;
coin : in std_logi
我試圖讓集&負荷d-觸發器代碼(同步),但它一直給我count <= '0' & d; it has 2 elements but must have 9 elements error.Thanks提前 library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity syn is
port