shift-register

    -3熱度

    1回答

    Block diagram 設計是一個串行加法器。當上輸入設置爲1時,它需要8位輸入A和B並以串行方式將它們相加。操作結果存儲在9位總和寄存器中,並附有框圖。我正在使用Quartus II 13.0sp1(64位)網絡版。 錯誤: 錯誤(10170):在LAB9b.v(56)附近的文本「â」處出現Verilog HDL語法錯誤;期待「:」或「,」 我還沒有在代碼中的任何地方寫過這個文本,但它仍然在

    1熱度

    1回答

    我可以使用移位寄存器將案例中的數據傳遞給案例(案例結構)嗎? 我把移位寄存器添加到我的while循環中,在我的while循環中我有一個case結構,我想將數據存儲在第一個case的移位寄存器中,並將它轉移到第二個case,我該怎麼做?

    1熱度

    2回答

    我正在一個項目中,我需要9個7段顯示器。我爲此使用了9個移位寄存器。 我用Arduino Nano測試了移位寄存器,並且一切正常。然後,我複製/編輯了代碼,用於NodeMCU(ESP8266 wifi板),出於某種原因,寫入移位寄存器的功能似乎被破壞。 這是現在的代碼: void writeBytes(uint8_t bytesToWrite[]){ Serial.println("te

    1熱度

    1回答

    我有一個vhdl代碼寫入一個移位器,使用d-flop觸發器和多路複用器進行運行並檢查語法成功。但是,現在我正在測試平臺上工作,我遇到了一些錯誤。 VHDL代碼是: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX41 IS PORT (i3, i2, i1, i0 : IN BIT; s: IN BIT_VECTOR(1 DOWNT

    0熱度

    1回答

    我看到了同樣的問題here,我試圖按照這個例子,但我在聲明我的信號時遇到了錯誤。在具體的: #Error: COMP96_0015: Pipeline.vhd : (52, 44): ';' expected. 這裏是我的代碼: library IEEE; use IEEE.STD_LOGIC_1164.all; entity Pipeline isgeneric ( VECTO

    0熱度

    1回答

    目前我正在嘗試執行this項目,而我被困在移位寄存器中。 事情是,我非常確定他們希望我們用d觸發器來實現這一點,但我只見過簡單的if/then轉換器。 到目前爲止,我已經得到了每一個模擬照明的重置信號的持續時間,因爲我用它來輸入。但是,這個目標的全部目標是讓每個指示燈僅點亮一個時鐘,並無限旋轉。 這裏是我的問題:我如何從一開始就將輸入作爲一個開始,以及如何實現,因此復位信號不會影響後續LED亮起多

    0熱度

    1回答

    我在VHDL中做了一個移位寄存器的結構設計。當WriteShift是1時,我得到了移位,當它爲0時,移位寄存器加載一個價格。儘管當我在testbench中將writeshift設置爲1時,加載完美工作,但我在模擬中獲得了00000。 我的代碼如下: entity ShiftRegis is Port (Din : in STD_LOGIC_VECTOR (4 downto 0);

    3熱度

    1回答

    我試圖製作一臺使用z80的復古電腦,理想情況下想讓它能夠從SD卡啓動。我100%使用z80,不想使用帶有內部SPI硬件接口的微控制器。我想了解計算機的硬件和軟件方面,因此,在購買之前,硬件方面已經完成,因此,Arduino和微控制器不在場了。無論如何,我的主要問題是可以將移位寄存器,某些解碼邏輯和軟件結​​合起來,以通過SPI模式從SD卡讀取數據嗎?我已經搜索了幾個小時的互聯網,閱讀了幾篇文章,似

    0熱度

    1回答

    我真的想在我的NodeMCU上使用SPI模塊。 SPI保持我的代碼清潔並釋放一些GPIO引腳。我感覺它發送的數據太快,我的74HC595跟不上。它工作了一下,然後停了下來。 看起來線路上有很多噪音,所以我連接了邏輯分析儀,發現當我發送數據時,位在幾乎6納秒的時間內跨越線路飛行(這非常棒)。我駕駛的是595,最終是步行者,需要速度較慢的數據。我曾嘗試在設置調用中使用時鐘參數,我感覺它永遠不會減慢SP

    3熱度

    2回答

    請誰能告訴我如何解決這個代碼? 什麼是Illegal_sequential_Statement錯誤(在modelSim中)? 爲什麼說近乎當nead';' (四分之一)? LIBRARY ieee ; USE ieee.std_logic_1164.all; ENTITY Shift_reg IS PORT(Par_LD: IN std_logic_vector(7 DOWNTO 0);