hdl

    0熱度

    1回答

    我使用Verilog和所有的輸入模擬中是好的,但所有輸出保持高impledance狀態(在波窗口藍線)。 這裏是.V文件: module de_mux( input clk, NewPacket, input [7:0] DataIn, output reg [7:0] DataOut0, DataOut1, DataOut2, DataOut3, DataOut4, DataOut5, Da

    0熱度

    1回答

    我有3個vhdl top在conf_gate.vhd的主要體系結構。在每個架構中,我想選擇兩種架構中的一種架構(取決於常量的值)。我可以通過使用來自同一頂部的配置關鍵字(conf_gate.vhd)來選擇體系結構之一嗎?實施例在下面列出(配置語句是在文件的結尾) Pastebin 3_architecture_vhdl -- configuration gate -- File: conf_ga

    2熱度

    1回答

    使用Vivado 2016.2模擬器我需要在System Verilog中將一個int值轉換爲字符串,但不支持$ cast和$ sformatf。還有什麼其他函數或方法可用於我成功地將int型轉換爲字符串?

    -1熱度

    2回答

    我是VHDL的新手。我正在嘗試使用代碼來查找位矢量是否甚至不是(使用位矢量的漢明權重)。我寫的代碼是: entity hw_mod is generic( bits:integer ); port ( inp : in std_logic_vector((bits-1) downto 0; cout : out std_logic); end entity hw_mod arc

    0熱度

    2回答

    這是我第一次使用verilog hdl進行編程,並且無法弄清楚我的代碼出了什麼問題。我需要在行爲代碼中設計一個簡單的ALU。 到目前爲止,我已經創建了一個減法器和加法器模塊(我需要添加更多的模塊,但是我希望在添加其他模塊之前讓這些模塊在ALU模塊中工作)。 我有單獨的.V文件以下模塊在同一個項目(很肯定這是一種行爲?): module adder3bit(sum, co, a, b); para

    0熱度

    2回答

    我正在編寫一個Verilog程序,它將反覆運行並將變量clk的值從0更改爲1,回到0等等,運行無限次。以下是該模塊的代碼: module FirstQuestion( output clk ); reg clk; initial begin while(1) begin clk = 0; #10 clk = 1; end

    0熱度

    3回答

    我在寫代碼來實現異步復位d flipfip,但[email protected]線呈現出語法錯誤: `timescale 1ns/1ps module Dflipflop( input D, input reset, input clk, output Q ); reg Q; initial begin if(reset==1) //clear the out

    -4熱度

    2回答

    我是一名編程學生。 如果verilog和VHDL是「HDL(硬件描述語言)」,Python,Java等是什麼? 只是一個「編程語言?」

    1熱度

    2回答

    --in the package type t_array is array (natural range <>) of std_logic_vector (7 downto 0); type p_array is access t_array; --in my testbench variable my_array : p_array := null; begin my_array

    0熱度

    3回答

    我知道,如果我的Verilog輸出二進制文件,那麼我可以使用下面的Verilog IO標準功能: $fwrite(fd,"%u",32'hABCDE124); 但上面的命令寫入的4字節數據到文件。如果我想寫入的二進制數據只有一個字節,兩個字節或三個字節會怎樣? 我該怎麼做? 例如,我知道下面會不會做我想做的: $fwrite(fd,"%u",8'h24); $fwrite(fd,"%u",1