我正在開發一個項目,我需要爲MIPS的特定指令子集創建自己的CPU。我承認我認爲我理解了單週期數據通路直到這個項目。所以請原諒我的困惑。 我的問題是與我的ALU。 ALU Opcode是一個4位數字,SubOp是一個單位值。當我嘗試測試我的ALU時,我輸出的所有行都是紅色的。我不確定爲什麼。如果我刪除NOR Gate的輸出,所有其他線路將變黑。然後當我將Opcode(圖像左下方)從AND門(0x0
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_bit.all;
use ieee.numeric_std.all;
entity multiplexer is
port (
A,
你能幫我們做一個32位ALU並解釋一些事情嗎? 想做的事: 0 bitwise AND: out = inA & inB.
1 bitwise OR: out = inA | inB.
2 addition: out = inA + inB.
6 subtraction: out = inA – inB //2's complement
7 Set On Less Than: out =