alu

    0熱度

    1回答

    我有一個任務要求創建一個模塊,如標題中所述。我需要添加,減去AND和XOR兩個輸入並設置必要的標誌。這個任務並不是100%清晰的,但我假設溢出標誌會使其他一切無效,所以我不需要擔心任何超過32位結果的內容。我的問題伴隨着零和溢出標誌,無論我嘗試什麼,它都不會被設置。我已經將我在網上找到的一些方法放在一起,但我不確定這些方法是錯誤的還是我編寫錯誤。一切都編譯和運行,但我的旗幟從來沒有設置,不管我使用

    1熱度

    1回答

    我想在VHDL中設計一個8位Alu,但是我得到這個錯誤,我認爲這與我的輸入被聲明爲bit_vectors的事實有關。真的嗎? ** Error: C:/Programs/Modeltech_pe_edu_10.4a/examples/alu.vhdl(19): No feasible entries for infix operator "+". ** Error: C:/Programs/Mo

    -1熱度

    2回答

    我寫一個簡單的ALU的Verilog這樣的: input [15:0] in; output reg [15:0] out; reg [15:0] r [0:7]; reg [3:0] opcode; reg [3:0] outreg; reg [3:0] var1, var2; reg [15:0] a1, a2; parameter STO = 4'b0000; param

    -1熱度

    1回答

    我是verilog的新手。我正在使用Xilinx IDE。我的ALU模塊如下: module ALU(in1,in2,operation,clk,out ); input [15:0] in1; input [15:0] in2; input [3:0] operation; input clk; output[15:0] out; reg

    0熱度

    2回答

    除了像alu這樣的常見操作(add,substract,multiplier,和or,xor,not)還有其他什麼操作,或者我在哪裏可以得到一個包含所有cpu操作的列表?

    2熱度

    1回答

    我正在開發一個項目,我需要爲MIPS的特定指令子集創建自己的CPU。我承認我認爲我理解了單週期數據通路直到這個項目。所以請原諒我的困惑。 我的問題是與我的ALU。 ALU Opcode是一個4位數字,SubOp是一個單位值。當我嘗試測試我的ALU時,我輸出的所有行都是紅色的。我不確定爲什麼。如果我刪除NOR Gate的輸出,所有其他線路將變黑。然後當我將Opcode(圖像左下方)從AND門(0x0

    0熱度

    1回答

    有人能指出我做錯了什麼嗎? 對於乘數的每個最右邊位,如果遇到一個乘數,我在乘積的左邊添加被乘數。 您的幫助表示讚賞。

    0熱度

    1回答

    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_bit.all; use ieee.numeric_std.all; entity multiplexer is port ( A,

    1熱度

    1回答

    所以我在設計一個verilog中的ALU,而我正在學習它。我想出了下面的代碼: 測試平臺: module ALUtb; reg clock = 1'b0; reg [0:7] val1; reg [0:7] val2; initial begin val1 = 8'b01010100; val2 = 8'b10101000; #50 $finish; end ALU p

    -3熱度

    2回答

    你能幫我們做一個32位ALU並解釋一些事情嗎? 想做的事: 0 bitwise AND: out = inA & inB. 1 bitwise OR: out = inA | inB. 2 addition: out = inA + inB. 6 subtraction: out = inA – inB //2's complement 7 Set On Less Than: out =