circuit

    0熱度

    1回答

    有誰知道一個好的基於Java的SPICE電路仿真器嗎? 優選的是,這將是開源的...

    4熱度

    2回答

    如何思考如何以抽象的方式設計數字邏輯芯片? 我目前正在研究「計算系統的元素」我在第一章中,我從Nand開始實現了以下大門。 不 而且 或者 異或 複用器 DMUX 16位不 16位和 16位或者 16位複用 8路或者 現在我卡試圖實現16位四路複用。 我試過把真值表轉換成規範的表示法,但是我最終得到了一個巨大的混亂。使用規範表示對「較小」芯片運行良好,但現在非常複雜。我怎麼能考慮把一個「複雜」的芯

    4熱度

    2回答

    最初的問題是這樣開始的。有6個州。在w = 1時的每個狀態移動到下一個狀態,當w = 0時,保持當前狀態。在每種狀態下使用標準7 LED顯示器(BCD)顯示一個數字。這些數字是8 - > 1 - > 9 - > 4 - > 2 - > 2. 所以這裏是我對這個問題的嘗試。我開始一個狀態表: 從左至右Y2,Y1,Y0 w=0 w=1 a b c d e f g 000|000 001 1 1 1

    1熱度

    2回答

    我正在設計一個shift鍵檢測器。我寫了一個測試工作臺。測試表明我的實現不正確。 這裏是ModelSim中的模擬。 紅線指示未定義。這種行爲只發生兩次。 讓我困惑的是:爲什麼這兩個值之間有這麼大的差距?如果你看看測試臺,第三和第四程序使用第一和第二的格式。 試驗檯是在這裏: http://pastebin.com/BJVFFgGr 探測器代碼是在這裏: http://pastebin.com/di

    1熱度

    1回答

    如何使同步計數器計數3,5,7,0並重復? 我假設我需要三個T型觸發器?

    0熱度

    1回答

    這裏是我們必須用布爾代數最小化的表達式: y =/A/B/C/D +/A/B/CD +/AB/CD + A/B/C/D + A/B/CD + A/BC/D + A/BCD。 我對此一竅不通,請大家幫忙!!! 答案/ A/CD +/B/C + A/B

    0熱度

    1回答

    有研究文章(例如Chakrapani & Palem)和使用一個所謂的概率的邏輯器件(例如Lyric)。我想這個想法是這樣一個設備的輸出,給定一些輸入,將收斂到某種概率分佈。這些設備和使用模擬信號的設備有什麼不同?也就是說,這些設備是否仍然被視爲數字,模擬,混合信號?

    1熱度

    2回答

    如果您希望兩個輸入中的任何一個輸入都爲1,那麼爲什麼不需要IC門?如果您只需連接兩根導線並且有一個輸出,那麼您需要一個IC門?

    0熱度

    2回答

    我試圖在沒有成功的情況下在其他設計中重新使用網表。 我有被翻譯成該網表的成分: entity c is port (... sel : in std_logic_vector(31 downto 0); ...); 在我使用只是SEL(4 DOWNTO 0)的設計。 綜合工具注意到了這種情況,並給出了一個警告: 'WARNING:Xst:647 - Input sel<31:5> i

    0熱度

    1回答

    可能是錯誤的地方問,但我會嘗試。 我必須設計一個電路,可以添加/減去浮點數 我試圖使用IEE 754標準中的有符號數值來完成此操作。 它們非常大,所以我決定從更小的東西開始,以證明這個概念。 我在網上找到了一些用於執行正數的加法和減法的算法。 大部分是這樣的: http://meseec.ce.rit.edu/eecc250-winter99/250-1-27-2000.pdf 。 他們沒有解釋符