2012-03-14 55 views
3

我目前正在使用Modelsim 10.1和ISE 13.4,並運行一個非常簡單的測試平臺。所有代碼都是VHDL。ModelSim Message Viewer空

我有一天在使用VHDL的assert語句時遇到了麻煩:錯誤和警告輸出到腳本。但是,消息查看器中沒有消息,並且波形窗口內沒有消息指示符。如果這很重要,我從ISE開始模擬。

我想我可能會錯過一個開關來打開消息登錄或什麼的,但幾個小時的谷歌搜索引發了什麼。是否有任何設置可以防止郵件被記錄到郵件閱讀器中,儘管打印出來的文本是成功的?我已經找到Simulate->Runtime Options-->Message Severity。但是,這裏一切都沒有檢查。選中任何複選框會產生預期的行爲,不會打印出來,但在消息查看器中仍然沒有任何消息。

感謝您的時間,

羅伯特

編輯:

好,但經過一些擺弄擺弄和,我終於找到了。

必須將msgmodedisplaymsgmode選項設置爲至少both以具有很好的消息指示符。看起來這是在我公司的早期ModelSim版本的默認配置內完成的。

我希望有人爲的無能我找到這個答案有幫助:)

+0

您好,我打算做到這一點,但它不會讓我,直到8小時問這個問題了。 – FRob 2012-03-15 12:45:52

回答

2

好,但經過一些擺弄擺弄和,我終於找到了。

必須將msgmodeand displaymsgmode選項設置爲至少兩者都有好的消息指示符。看起來這是在我公司的早期ModelSim版本的默認配置內完成的。

我希望有人爲的無能我找到這個答案有幫助:)

3

只是要清楚如何才能看到的飄窗頂部的消息(紅色,綠色和黃色三角形)開始模擬:

vsim -msgmode both -displaymsgmode both your_testbench 

有沒有GUI來設置它。必須在編譯完項目後在Transcript窗口中輸入命令(上圖),或者在「Simulation Properties」 - >「Others」 - >「Other Vsim Options」中添加以下代碼:-msgmode both -displaymsgmode both

的描述,可以發現在:

https://ece.uwaterloo.ca/~ece327/protected/modelsim/htmldocs/modelsim_se_user/a_gui113.html