library ieee;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity DistanceCal is
port(timeIn : in integer;
Distance : out std_logic_vector(15 downto 0));
end DistanceCal;
architecture behav of DistanceCal is
signal conv_int : std_logic_vector(to_unsigned(timeIn, conv_int'length));
begin
process(timeIn)
begin
conv_int <= std_logic_vector(to_unsigned((timeIn*340/2), conv_int'length));
end process;
Distance <= conv_int;
end behav;
我需要將整數轉換爲二進制表示,但我不知道整數的值。我該怎麼辦?如何將整數轉換爲VHDL中的二進制表示?
現在,我已經可以把它轉換。非常感謝您的建議。 –