2011-02-11 34 views
9

VHDL和Verilog的用途相同,但大多數工程師都喜歡這兩種語言之一。我想找出誰贊成哪種語言。有很多關於Verilog和VHDL分離的神話和常見智慧。 (ASIC/FPGA,歐洲/美國,商業/國防等)如果你問周圍,人們會反覆告訴你同樣的事情,但我想知道這些神話是否基於現實。有沒有人對VHDL和Verilog的使用有定量的數據?

所以我的問題:任何人都可以提供定量數據,指出誰使用VHDL和誰使用Verilog?再次,我正在尋找號碼,而不是直覺和一般適應症。

+0

請分享,如果你發現任何東西! – 2011-02-12 23:38:00

+0

祝你好運。即使是庫裏引用的美國市場調查是基於$$$$(在Verilog仿真器上花費在模擬器上的錢更昂貴)而不是用戶。 – 2013-11-05 16:56:31

+0

投票結束,因爲這是一個市場份額問題,或者過於寬泛。相關:http://electronics.stackexchange.com/questions/16767/vhdl-or-verilog || http://electronics.stackexchange.com/questions/26547/do-you-use-vhdl-nowadays – 2015-10-05 08:40:48

回答

5

VHDL和Verilog都是相當新的和相當專業的語言。這兩個特點使得他們的定性數據很難得到。另一方面,我們可以利用這些特點來發揮我們的優勢。我們可以嘗試根據可用的參考數量來推斷這些語言的流行度。

Amazon.com圖書編目通過主題

VHDL  315 
Verilog  132 

谷歌趨勢:Verilog的(紅色)與VHDL(藍色) - SourceVerilog(red) vs VHDL(blue)

通過這些數字(只有這些數字)VHDL似乎比Verilog更廣泛使用;然而,沒有跡象表明每個市場份額的細節。

+1

謝謝@Fitz。這告訴我們VHDL比Verilog更大。或者至少,人們更多地使用Google搜索VHDL答案,而不是Verilog。儘管如此,我還是希望獲得更多的人口統計數據(東海岸vs西海岸,軍事vs商業等)。谷歌的趨勢確實有很大幫助,但對於較小的搜索量,它拒絕提供任何數據。例如,Google Trends僅向您提供美國不同州的VHDL/Verilog搜索的非常有限的信息。 – Philippe 2011-02-14 09:55:58

+11

「相當新和故障專業」?專精是的,但不是新的。如果你認爲Perl是一種新語言,VHDL和Verilog只是新的。他們都是在80年代創建的。多年來,他們都在不斷髮展和提高,但他們並不新鮮。 – 2011-02-15 17:18:29

2

我爲一家總部位於硅谷的大型上市硬件設計公司工作。我們曾經使用VHDL,但在2002年切換到verilog(ish)。

2008年左右,我們切換到了系統verilog。據我瞭解,大多數非軍事/非政府承包公司使用系統verilog,而軍事/政府合同實體使用VHDL這些天..但不要引用我...

這是什麼你在問?如果是這樣,+1系統verilog :)

1

17年來,我一直是ASIC和FPGA設計人員/驗證工程師,我曾參與過VHDL和verilog項目。我曾經在一些使用VHDL的高端公司(英特爾,高通,洛克希德,雷神)。然而,我見過的所有知識產權都在verilog中,無論什麼價值。另外,從我有限的求職面試和工作經驗來看,在我職業生涯的大部分時間裏,它在VHDL和Verilog之間相當平均。

我認爲VHDL和Verilog都非常漂亮,直到2000年中期,當Verilog演變成System Verilog時,VHDL保持相當靜態,除了微小的變化。它曾經是VHDL有更多不可綜合的語言功能,幫助驗證老派的verilog。使用System Verilog,VHDL在這方面的實力得到了飛躍,並且從來沒有以自己的進化來回應,所以我(有趣地)看到了向SV的遷移並且遠離了VHDL。

2

在德州儀器,Verilog更受歡迎。我的經驗是,設計人員可以使用他們喜歡的任何一種,通常情況下,大多數人都認爲Verilog比同等的VHDL更易於使用,代碼更短(事實上)。只要檢查兩者都有的教科書,並且您可以看到代碼長度的差異。

2

我對這件事沒有數字也沒有任何直覺。我會給你一些關於VHDL的事實。

[1]的SystemVerilog增強的Verilog-HDL直到與VHDL的現有能力(STD。一○七六年至2002年)相提並論。

[2] VHDL 2008(STD 1076至2008年):有沒有人使用的最新標準。請使用它,然後與Verilog(STD 1364-2005)進行比較。

[3]的SystemVerilog延伸通過添加一個豐富的,用戶定義的類型的系統的Verilog-HDL,並增加了強類型的能力,尤其是在用戶定義的類型的區域。 ...但是VHDL中類型檢查的強度仍然超過了SystemVerilog中的類型檢查。 ......強打字的缺點在於表演;即編譯和仿真(僅在啓用運行時檢查時)很慢。在考慮項目投資數量時(我們公司的推理),慢編制不是問題。

我認爲VHDL作爲一個「安全」的語言和Verilog作爲一個「快」的語言,可以讓你快速編寫模式。我工作的公司更喜歡安全超速;所以我們主要在我們的設計流程中使用VHDL。

還做檢查新的OS-VVM(開源VHDL驗證方法學)的發展。