zynq

    0熱度

    1回答

    我很新zedboard。 我有一個大項目,它有幾個分層的makefile。我想將項目導入到xilinx SDK中,構建它並生成elf文件,並將其加載到Zedboard zynq 7000 xc7z020clg484。或者,將項目加載到ARM內存中,構建它並執行它。 我試過導入 - >現有的項目,但它似乎不起作用。我仍然無法構建它。 有什麼辦法可以做到這一點?

    2熱度

    1回答

    我已經寫了一些Linux設備驅動程序,但我仍處於新手入侵的層面。我可以讓他們工作,但這就是我所能聲稱的。到目前爲止,我已經能夠使用write()將它們寫入write數據模型,並使用read()讀取數據。我偶爾使用ioctl進行更加精細的控制。 現在我想在FPGA邏輯中構建一個協處理模塊,並在同一個FPGA中爲ARM處理器編寫一個設備驅動程序,以將工作從ARM卸載到FPGA。我正在努力研究如何最好地

    2熱度

    1回答

    我試圖使用tftp啓動zxw。 我已經爲ipaddr,serverip,netmask設置了相應的環境變量,並且文件成功加載到RAM中。但是,嘗試啓動vxWorks映像時出現以下錯誤。沒有與VxWorks映像,我可以成功地與這些iamges開機的時候我從SD卡 zynq-uboot> bootm 0x5000000 - 0x4000000 #ERROR: booting os 'Unknown

    4熱度

    2回答

    我正在學習vivadoHLS,並且教程u871介紹瞭如何使用HLS並優化我的C/C++代碼。但我想知道如何將它們加載到我的主板zynq 7020中,讓它在板上運行。 我想要實現的是:主機(CPU板上)調用PL(FPGA)進行計算,並將參數發送到PL,然後PL將結果發送回CPU。 例如,在C的函數:加載(INT *一個,INT * B),這將分別添加[i]和B [i]和返回的數組INT *結果。,通

    0熱度

    1回答

    我在zedboard上設計了一個固件,我想用一些語言(比如說C)爲我的固件編寫程序並通過以太網傳輸這個文件,然後編譯 - >執行它。 我將不勝感激,如果有人能幫助解決這個問題! 非常感謝您的幫助! 問候

    -1熱度

    1回答

    我正在嘗試爲Zynq做一個簡單的STANDALONE應用程序。我想用'time.h'來操作日期/時間。我知道stanalone BSP上沒有硬件實現,但我想自己連接它。 在編譯期間,當我調用'time(NULL)'時,出現錯誤,沒有實現'_gettimeofday()'。我發現它並根據函數定義實現它,以便錯誤消失並且一切看起來都正常,但是當我在硬件上運行我的項目時,從time()中只能看到零。 任

    1熱度

    1回答

    我目前正在使用Simulink嵌入式編碼器方法開發一個在Xilinx Zedboard上實現的項目。 現在我必須建立一個連接到數據庫的塊(通過MySQL,使用C庫)。問題是我不知道如何在S函數生成器中指定用於在#include <mysql.h>之後交叉編譯此simulink塊的路徑。

    -2熱度

    1回答

    我需要與Xilinx ZC706開發板兼容的android源代碼。請給我一個從我可以下載的存儲庫的鏈接。任何版本都可以。 此外,任何建議將不勝感激。 Sazal

    0熱度

    1回答

    ZYNQ 7000的引腳數的文件我是新來的FPGA,當我試圖實現我的ZYNQ-7000 clg484解碼器,有一個錯誤,說: Bitgen:342 - 這設計包含的位置(LOC)不是用戶分配的位置(LOC),也不是用戶分配的I/O標準(IOSTANDARD)。 這可能會導致I/O競爭或與電路板電源不兼容或影響性能,信號完整性或在極端情況下導致設備或與其連接的組件損壞。爲了避免這個錯誤,我們強烈建議

    1熱度

    1回答

    我對Zedboard很陌生。我正在用VHDL寫一個計數器,並嘗試在Zedboard Zynq 7000 XC7Z020-1 CSG484CES EPP上實現它。 當我分配引腳時,我想要一個時鐘。但將「clk」分配給用戶交換機似乎是錯誤的。於是我擡頭看文件ZedBoard_HW_UG_v1_1.pdf。 在第一章2.5,它說以下內容: 的EPP的PS子系統使用專用的33.3333 MHz的時鐘源,I