我有一個組件,我想有2個實例化,我想每隔一個時鐘週期交替發送/接收數據。事情是這樣的:VHDL。組件之間每隔一個時鐘週期交替
component piece is
port(
clk : in std_logic;
a : in std_logic;
b : in std_logic;
c : in std_logic_vector(7 downto 0);
d : in std_logic_vector(7 downto 0)
);
end component;
piece_0 : if clk='0' generate
U_0: piece
port map(
clk => clk,
a => a,
b => b,
c => c,
d => d
);
end generate;
piece_1 : if clk='1' generate
U_1: piece
port map(
clk => clk,
a => a,
b => b,
c => c,
d => d
);
end generate;
我編譯時收到警告。
當我合成它崩潰...
關於如何實現這一點的任何想法?
謝謝!
你的'piece'應該沒有輸出嗎?你想在時鐘低電平時運行一位代碼,而另一個時鐘處於高位(所謂的雙倍數據速率) - 這就是你的僞代碼的樣子。或者在另一個時鐘刻度上,這是你的文字說的...? – 2014-09-04 16:00:59