2016-01-23 61 views
2

每當我創建一個VHDL設計時,我都傾向於有很多模塊。然後將這些模塊中的每一個連接到一個主文件,因此一切都合成。但是我想爲這些模塊編寫單獨的測試臺,併爲全球過程編寫一個測試臺。如果我可以做一些將所有這些測試平臺連接在一起並使它們連續運行,以便在一次運行中測試我的整個設計,那將是非常好的。我怎麼能這樣做?我喜歡使用GHDL並聲明。是否有可能創建一個超級測試臺?或者一個迭代它們的shell腳本會更好?爲VHDL設計運行多個測試平臺

+1

很好的策略。還有VHDL的單元測試工具......一個叫做VUnit的例子:https://github.com/LarsAsplund/vunit –

+0

@Paebbels,你是對的。固定。 – Cheiron

回答