1
我有兩個文件,master.vhd和slave.vhd,都合成沒有錯誤或警告。我想創建一個結構頂層模塊並連接它們。我正在使用Xilinx ISE 14.2。頂級模塊VHDL沒有輸入和輸出
我top.vhd文件看起來像這樣:
library ieee;
use ieee.std_logic_1164.all;
entity top is
end top;
architecture structural of top is
signal reset, clk : std_logic;
signal req, ack, sig : std_logic;
begin
master : entity work.master_v10_zad1(rtl)
port map(
reset => reset,
clk => clk,
req => req,
ack => ack,
sig => sig
);
slave : entity work.slave_v10_zad1(rtl)
port map(
reset => reset,
clk => clk,
req => req,
ack => ack,
sig => sig
);
end structural;
當我不喜歡這樣,我不能合成,並得到了很多類似的警告:警告:XST:647 - 輸入從未使用。這個端口將被保留並保持未連接狀態......等等等等
但是,如果我在頂層實體中添加一些不需要的端口,那麼它會合成好,但我不需要額外的端口,它們只是混亂!
我的問題是如何將兩個模塊與頂層結構文件(或任何其他工作方式)連接起來並保持設計合成?