2014-10-07 393 views
1

我正在使用下面的命令打印Questasim中事務類的內容。UVM_INFO返回十六進制值

`uvm_info("VALUES", tx.sprint(), UVM_LOW); 

我的交易的內容是A,B,ANS。所有都是。 但問題是它打印爲HEX而不是DECIMAL。 是表示喜歡

ans integral 8 'h1c

如何顯示它作爲

ans integral  8  'd28 

回答

5

您需要啓用UVM_DEC標誌註冊您的UVM類時:

`uvm_object_utils_begin(your_class) 
`uvm_field_int(ans, UVM_ALL_ON | UVM_DEC) 
`uvm_object_utils_end 
+0

它的工作 但是一個小問題.. 有些時候,而不是'D12它只顯示12.像這樣大部分數字大於7。 – Vineeth 2014-10-07 07:21:19

0

由於效率低下的uvm_field_macros,最好覆蓋convert2string()方法,並根據需要指定格式。