2017-08-17 76 views
0

我正在尋找一種方法,當我讀取或寫入uvm_reg時,總是可以添加額外的uvm_reg寫入權限。流程顯示在僞代碼中。如何添加一個額外的uvm_reg寫入每個寄存器映射訪問?

僞代碼:

reg_map.MY_REGS.REG1.write(status, <my data >); 

這應該是:

reg_map.MY_CTRL_REG.CFG (status, <data>); 
reg_map.MY_REGS.REG1.write(status, <data>); 

想法是使用替代frontdoor訪問從rw_info獲得的地址,並添加額外寫的,但這種失敗,因爲這是遞歸調用。

有沒有辦法自定義reg_map讀取,寫入和更新命令,並添加一個私人uvm_reg寫入原始訪問?

回答

相關問題