2017-05-04 142 views
1

我一直致力於使用Verilog的類項目。我必須創建一個電路,然後計算電路使用的功率。我一直在嘗試使用Xpower Analyzer來執行此操作。我按照說明創建vcd文件,使用Xilinx ISE 14.7編譯和合成代碼。一切都很順利,直到結果顯示出來。我從時鐘收到了0個功耗。我試圖限制時鐘,它只給我一個從0到0.009的動態功率增量,但不是時鐘運氣。另外,我在我的個人計算機和我的大學計算機實驗室嘗試Xpower,所以我不認爲這是一個軟件錯誤。 此外,我嘗試了不同的設計,如簡單的鋁,寄存器等。但是,我仍然得到相同的功率結果。如何使用xpower獲得功耗估計

的更多信息:

  • 測試平臺運行良好,我想要做
  • 我宣佈像時鐘什麼:模塊toptrafficlight( 時鐘,RST,輸出);
  • 列表項:我已限制了時鐘到20ns的
  • 定時相位= 0後合成(不知道這意味着什麼)從
  • Warrnings:
  • HDLCompiler:413 - 行86:5結果位表達式被截斷以適應4位目標。
  • PhysDesignRules:372 - 門控時鐘。時鐘網絡main_gated_clk由組合引腳提供。這不是好的設計實踐。使用CE引腳來控制數據加載到觸發器中。

Power result from Xpower Analyzer

我的問題是?

  1. 它是一種設置時鐘的方法嗎?我認爲這可能是問題的原因
  2. 除了獲取VCD文件併合成代碼之外還有什麼需要完成的嗎?
  3. 任何其他的想法,例子或教程?

回答

0

該屏幕截圖顯示該設計非常小,因此時鐘功耗小於1mW並不是什麼大驚喜。賽靈思還提供用於功耗估算的Excel工作表。它可以用於快速試用,瞭解什麼情況會使時鐘功耗顯着。

Xilinx Power Estimator (XPE)

+0

謝謝你的幫助。 –