我有以下剪斷VHDL代碼:VHDL處理分派不起作用
signal state_last_pushbutton : std_logic;
process (clk_clk)
begin
if rising_edge(clk_clk) then
userleds_external_connection_export(0) <= '0';
else
userleds_external_connection_export(0) <= '1';
end if;
state_last_pushbutton <= pushbuttons_external_connection_export(0);
end process;
問:
爲什麼信號state_last_pushbutton從來沒有得到pushbuttons_external_connection_export(0)的價值?在模擬中,它的值始終爲'U'。
感謝
還有什麼驅動信號? –
您的代碼在ModelSim DE 10.4c中適用於我。你不是在說pushbuttons_external_connection_export(0)的值,但是信號也被設置爲'U'的可能性是什麼? – pc3e