2017-04-05 74 views
1

我知道Django是請求/響應週期和Django的渠道是不同的,我的問題是不是有關。uWSGI相當於Django的通道

我們知道,uWSGI/gunicorn創建工作進程,並且可以配置在執行線程每個請求。因此,它可以在10個線程的單個uWSGI工作進程中「同時」(非並行)處理10個請求。

現在讓我們假設每個Web客戶端都希望使用Django Channels創建websocket,從我的有限理解(使用vanilla實現)開始,它將在單個線程中處理每條消息,這意味着要處理x個連接數量同時,您需要x個通道工作進程。我知道有人會建議增加流程的數量,我不是在這裏辯論這個。

我的問題是根本就沒有,做類似的工作,與在線程執行的消費功能uWSGI/gunicorn任何現有的庫?

回答