2016-11-29 70 views

回答

0

如果要讀取用戶或文件的輸入,請使用Verilog編程語言接口(PLI)將Verilog連接到C或C++語言;在那裏你可以使用像read和fgetc這樣的系統調用來從文件或用戶獲取數據。

查看您的verilog模擬器手冊,或者使用ModelSim PLI進行網絡搜索,以獲得使用Verilog PLI的幫助。