2009-12-21 170 views
7

我是Verilog的新手。有人可以建議學習資源,書籍,視頻,博客或任何他們有良好的個人經驗,並幫助他們更快地學習嗎?學習資源Verilog

如果有幫助,我有幾個高級語言編程的經驗,但在C.

感謝

沒有編程經驗

回答

4

學習Verilog的是從很遠的高級語言。 C的語法已經啓發了它的語法,但是設計電子學(使用VHDL或Verilog)與編寫軟件有很大不同。

話雖如此,我想你已經通過谷歌找到明顯的。例如這個tutorial,和他們main Verilog site。後者列出書籍幷包含免費教程。

1

那裏有很多很好的教科書。在線教程可以介紹主要概念,但使用Verilog的硬件工程師遠遠少於編寫軟件的程序員。你不會試圖從那裏的少數網站學習。

當然,如果你對數字邏輯設計原理不熟悉,學習Verilog就毫無意義。畢竟,Verilog是一種建模語言,因此有必要了解硬件以正確建模。

0

Samir Palnitkar的「Verilog HDL」是一本易於閱讀的Verilog入門書。我在學習這門語言時發現它很有用。

3

Verilog首先是一個硬件描述語言。所以你需要在數字設計方面有一些背景知識(或者至少讓自己熟悉它)。另外,你的問題的答案將取決於你想學習Verilog的原因:

  • 你打算做一些FPGA開發?如果是,XilinxAltera有很多很好的Verilog示例和其他學習資源。你可以安裝免費工具(有一些限制)

  • 你打算做ASIC開發還是驗證?如果是,請瀏覽SynopsysCadence網站。

  • 如果你只是想學習語言,那麼你至少需要一個模擬器來做任何有用的Verilog代碼。看看Icarus Verilog模擬器。

4

Stuart Sutherlandpapersbooks的大風扇。他對這門語言有着非常深刻的理解,而且他的寫作也確實如此。

與任何語言一樣,學習的最佳方式是閱讀其他人的代碼。爲此,請考慮OpenCoresOpenSPARC。如果您有安裝Xilinx或Altera的工具,請考慮查看其IP內核的源代碼(某些內核會被混淆,但許多內核不會)。

0

我會建議您從本書'verilog examples of pong p。的FPGA原型開始。楚

FPGA prototyping by verilog example

本書採用全合成的代碼。使用HDL(硬件描述語言)進行編程時最重要的一點是,您必須使用Verilog代碼聲明來保留您在FPGA中製作的硬件。例如,當您使用assign語句e.t.c時,您可以創建一個多路複用器。

你會想到硬件。

0

檢查一下。這可以幫助用交互式語法更正編寫好的verilog代碼。 VGuru是其同類學習實踐教學平臺中的第一個。在鍵擊次數較少的移動設備上,我們可以完成一個verilog代碼。只需點擊一下,我們就可以創建測試臺。 https://play.google.com/store/search?q=skand+vlsi