我正在嘗試學習如何使用$ value $ plusarg。我從某處拿到了下面的代碼。
module test;
integer i, r;
initial begin
r = $value$plusarg("myint=%d", i);
$display("Value is %0d", i);
end
endmodule
當我試圖運行它,我收到的警告爲:
System task or function '$value$plusarg' is not defined.
我使用的ModelSim
。我使用的命令如下:
vlog test_genvar.v +define+myint="22"
vsim work.test
run -all
我不確定問題是否與代碼或我用過的命令一致。在此先感謝:)
它確實是運行時切換。如果我使用vlog,我得到的輸出爲** x **。謝謝你:) – ssgr
也可以知道我們什麼時候使用** + define **? – ssgr
'+ define'可能被用來定義一個宏條件。例如,您使用'ifdef ABC'的代碼,然後'+ define + ABC'將定義'ABC'宏。 – sharvil111